is used but never assigned. This sourceless signal will be automatically connected to value GND." when compiling my top module. I've gone thorugh and set all the sub-modules as top level and synthesized them: none of them returne..." />
2014-10-03

Hello,

I've been getting the warning "WARNING:Xst:653 - Signal <clk> is used but never assigned. This sourceless signal will be automatically connected to value GND." when compiling my top module. I've gone thorugh and set all the sub-modules as top level and synthesized them: none of them returned the warning. So I've determined the warning must be from the top module, or from a connection to the top module.

The weird thing is that the top module does not have a signal named <clk>. I can't for the life of me figure out what signal its trimming. I've posted the code below (I took out large comment blocks, hopefully I didn't accidentally delete any real code). For the record I am using ISE 14.7

Show more